Icarus Verilog 0.8

Free A Verilog simulation and synthesis tool that operates as a compiler
4.8 
Rating
Your vote:
Latest version:
10.1.1 See all
Developer:
Icarus
Screenshots
1 / 2
Awards (1)
Show all awards
Software Informer Virus Free award
Download
Free   2.6 MB

Icarus Verilog is a Verilog simulation and synthesis tool. It operates as a compiler, compiling source code written in Verilog (IEEE-1364) into some target format. For batch simulation, the compiler can generate an intermediate form called vvp assembly. This intermediate form is executed by the ``vvp'' command. For synthesis, the compiler generates netlists in the desired format. The compiler proper is intended to parse and elaborate design descriptions written to the IEEE standard IEEE Std 1364-2005.

Comments

4.8
Rating
5 votes
5 stars
4
4 stars
1
3 stars
0
2 stars
0
1 stars
0
User

Your vote:

Related software

ProLoad
ProLoad
Free
rating

Software working as user friendly interface for programmer boards.

Guns of Icarus
Guns of Icarus
rating

You are a privateer ferrying goods across treacherous airspace.

COSMIC ST7 C Compiler
COSMIC ST7 C Compiler
Free
rating

Reads C source files, object code files, and produces an executable file.

Assembly Studio 8x
Assembly Studio 8x
Free
rating

Earliest attempt at a z80 assembly IDE.

PInvoker
PInvoker
rating

Generates a pinvoke based .NET interop assembly by importing a number of C++.

Related suggestions

Verilog hdl software